The doctoral dissertations of the former Helsinki University of Technology (TKK) and Aalto University Schools of Technology (CHEM, ELEC, ENG, SCI) published in electronic format are available in the electronic publications archive of Aalto University - Aaltodoc.
Aalto

Fabrication of Silicon and Glass Devices for Microfluidic Bioanalytical Applications

Kai Kolari

Dissertation for the degree of Doctor of Science in Technology to be presented with due permission of the Faculty of Electronics, Communications and Automation for public examination and debate in Micronova large lecture room at Helsinki University of Technology (Espoo, Finland) on the 18th of January, 2008, at 12 noon.

Overview in PDF format (ISBN 978-951-38-7072-0)   [5064 KB]
VTT Publications 670, ISSN 1455-0849

Dissertation is also available in print (ISBN 978-951-38-7071-3)
Copyright © 2008 VTT Technical Research Centre of Finland
VTT Publications 670, ISSN 1235-0621
VTT-PUBS-670
TKK-DISS-2422

Abstract

This thesis introduces important improvements in fabrication of microfluidic devices on silicon and glass. With the main aim in surface and volume manipulation of aqueous solutions for subsequent biochemical analysis, the backbone of the work has been the development of plasma etching processes for silicon and glass. As the silicon microfabrication technologies are combined with deep anisotropic etching of glass, the processability of microfluidic applications with surface and volume manipulation of fluid is diversified.

Several mask materials have been studied with respect to deep plasma etching of glass. As the demand for depth of microfluidic devices extends past 150 µm, the number of usable masking schemes becomes limited. To reach an etch depth beyond 350 µm with aspect ratio of over 3:1 including the mask, silicon shadow mask was used. The results of process development on Al2O3, AlN and TiO2 masks show that a very high etching selectivity on glass can be achieved with these mask materials. The described masking technologies enable e.g. high density of through-a-wafer holes or nearly vertical structuring of glass with great depth.

Also, a silicon shadow mask was used for local tuning of hydrophobicity of C4F8 polymer on silicon and glass surfaces by pattering the polymer with O2 plasma through the shadow mask. For both purposes, one silicon shadow mask wafer can be re-used to enable lower processing costs.

Thermal manipulation of fluid allows polymerase chain reaction on silicon and glass microchips, but also triggering of capillary action. However, the results of a novel method indicate possible lack of biocompatibility of oxidized silicon surfaces, which may limit the usable microchip surface materials. Microfluidic components with hydrophilic patterning for controlled capillary action can be combined with microphotonics through excitation of fluorescence with evanescent field, which has been characterized with a grating-coupled laser beam.

This thesis consists of an overview and of the following 8 publications:

  1. Kolari K. and Hokkanen A., Tunable hydrophilicity on a hydrophobic fluorocarbon polymer coating on silicon, Journal of Vacuum Science and Technology A 24 (4), pp. 1005-1011, 2006.
  2. Kolari K., Hokkanen A. and Stuns I., Self-feeding microfluidic structures on silicon and glass, Proceedings of SPIE 5839, pp. 323-332, 2005.
  3. Kolari K., Deep plasma etching of glass with a silicon shadow mask, Sensors and Actuators A, in press.
  4. Kolari K., Saarela V. and Franssila S., Deep plasma etching of glass for fluidic devices, In: 18th Workshop on Micromachining, Micromechanics and Microsystems, Guimarães, Portugal, 2007.
  5. Kolari K., High etch selectivity for plasma etching SiO2 with AlN and Al2O3 masks, In: 33rd International Conference on Micro- and Nano-Engineering, Copenhagen, Denmark, 2007.
  6. Dekker J., Kolari K. and Puurunen R., Inductively coupled plasma etching of amorphous Al2O3 and TiO2 mask layers grown by atomic layer deposition, Journal of Vacuum Science and Technology B 24 (5), pp. 2350-2355, 2006.
  7. Kolari K., Satokari R., Kataja K., Stenman J. and Hokkanen A., Real-time analysis of PCR inhibition on microfluidic materials, Sensors and Actuators B, in press.
  8. Kolari K., Hokkanen A., Kuittinen M., Simonen J. and Heimala P., Optimising a grating-coupled evanescent field excitation, Proceedings of SPIE 6189, 61891Z, 2006.

Keywords: glass, plasma etching, hydrophobic coating, shadow mask, polymerase chain reaction

This publication is copyrighted. You may download, display and print it for Your own personal use. Commercial use is prohibited.

© 2008 Helsinki University of Technology


Last update 2011-05-26